Mentor v8.6_4 Handbücher

Bedienungsanleitungen und Benutzerhandbücher für Software Mentor v8.6_4.
Wir stellen 1 PDF-Handbücher Mentor v8.6_4 zum kostenlosen herunterladen nach Dokumenttypen zur Verfügung Bedienungsanleitung


Mentor v8.6_4 Bedienungsanleitung (831 Seiten)


Marke: Mentor | Kategorie: Software | Größe: 2.17 MB |

 

Inhaltsverzeichnis

FastScan and FlexTest

1

Reference Manual

1

TABLE OF CONTENTS

3

TABLE OF CONTENTS [continued]

10

LIST OF FIGURES

14

LIST OF TABLES

15

LIST OF TABLES [continued]

16

About This Manual

17

Overview About This Manual

18

Related Publications

19

Acronyms Used in This Manual

21

Chapter 1

25

Introduction

25

Inputs and Outputs

26

Chapter 2

29

Command Dictionary

29

Command Descriptions

55

Abort Interrupted Process

56

Add Ambiguous Paths

57

Examples

58

Add Atpg Constraints

59

• -Dynamic

62

• -Static

62

Add Atpg Functions

63

Add Capture Handling

68

• -Cell cell_name

70

Add Cell Constraints

71

Add Cell Library

74

Add Clocks Command Dictionary

76

Add Cone Blocks

77

• -Effect

78

• -CEll cell_name

78

• -Group

80

Add Display Instances

81

• -Forward

82

• -Backward

83

• -Level number

83

• -End_point

83

• -Decision_point

83

Add Display Loop

85

• feedback_id#

86

Add Display Path

88

• -All (FastScan Only)

89

• instance_name_begin

89

• gate_id_begin#

89

• instance_name_end

90

• gate_id_end#

90

• -Noblock

90

Add Display Scanpath

91

• begin_cell_position

92

• end_cell_position

92

Add Faults

94

Add Iddq Constraints

96

• pinname

97

• -Model modelname

97

Add LFSR Connections

100

• primary_pin

101

• lfsr_name

101

• position

101

Add LFSR Taps

102

Add LFSRs

103

Delete LFSR Taps

103

Report LFSRs

103

Setup LFSRs

103

Related Commands

103

Add LFSRs Command Dictionary

106

Add Lists

107

Add Mos Direction

109

Extract Subckts

110

Delete Mos Direction

110

Report Mos Direction

110

Add Net Property

111

Add Nofaults

112

• -Instance

113

• -Module

113

• -Stuck_at 01

113

• -Keep_boundary

113

Add Nonscan Handling

115

• element_pathname

116

Add Notest Points

117

Add Observe Points

118

Analyze Observe

119

Delete Observe Points

119

Report Observe Data

119

Report Observe Points

119

Add Output Masks

120

Add Pin Constraints

121

FlexTest Specifics

122

• primary_input_pin

123

• constraint_format

123

FastScan Example

125

FlexTest Example

125

Add Pin Equivalences

126

Add Pin Strobes

129

Delete Pin Strobes

130

Report Pin Strobes

130

Setup Pin Strobes

130

Add Primary Inputs

131

Add Primary Outputs

133

Add Random Weights

134

Add Read Controls

136

Add Scan Chains

138

Add Scan Groups

140

Add Scan Instances

142

Add Scan Models

143

Add Slow Pad

144

Add Tied Signals

145

• floating_object_name

146

Add Write Controls

147

Analyze Atpg Constraints

148

• pin_pathname

149

• gate_id#

149

• function_name

149

• -Satisfy (FastScan Only)

149

• -Exclusive (FastScan Only)

150

Analyze Bus

151

• -Drc_check

152

Analyze Control

154

Analyze Control Signals

156

• -Verbose

157

Report Clocks

158

Report Read Controls

158

Report Write Controls

158

Analyze Drc Violation

159

Analyze Fault

165

FastScan Specifics

167

• -Stuck_at 0

168

Analyze Race

173

• -ERror

174

Analyze Restrictions

175

Close Schematic Viewer

176

Compress Patterns

177

• passes_integer

178

• -Reset_au (FastScan Only)

178

• -Force (FlexTest Only)

178

• -MAx_useless_passes integer

178

• -MIn_elim_per_pass integer

179

• RAM_instance_name

180

• RAM_gate_id#

180

Create Patterns

182

Examples

183

Delete Atpg Constraints

184

• gate_ID#

185

Delete Atpg Functions

186

Delete Capture Handling

188

• -SOurce

189

Delete Cell Constraints

190

Report Cell Constraints

191

Delete Clocks

192

Delete Cone Blocks

193

Delete Control Points

195

Add Control Points

196

Report Control Points

196

Delete Display Instances

197

Delete Faults

199

• object_pathname

200

• -Stuck_at 01

200

• -Untestable

200

Delete Iddq Constraints

202

Delete Initial States

204

Delete LFSR Connections

205

Delete LFSRs

209

Delete Lists

211

Delete Net Property

213

Delete Nofaults

214

• -Class User

215

Add Nofaults Report Nofaults

216

Delete Nonscan Handling

217

Delete Notest Points

219

Delete Output Masks

223

Delete Paths

225

Delete Pin Constraints

227

Report Pin Constraints

228

Setup Pin Constraints

228

Delete Pin Equivalences

229

Delete Primary Inputs

232

Delete Primary Outputs

234

Delete Random Weights

236

Delete Read Controls

238

Delete Scan Chains

239

Delete Scan Groups

240

Delete Scan Instances

242

Delete Scan Models

243

Delete Slow Pad

244

Delete Tied Signals

245

Delete Write Controls

247

Diagnose Failures

248

• failure_filename

249

• -Output report_filename

249

• -Replace

250

• -Chain

250

• filename

252

Set Dofile Abort

253

• -Discard

254

Flatten Model

256

Flatten Subckt

257

• command_name

258

Insert Testability

260

Load Faults

262

• cell_name

263

• net_name

263

• -Restore

263

• -Delete

264

• -RETain (FastScan only)

264

Load Paths

266

Command Dictionary Load Paths

267

• -Force

268

• -Noforce

268

Macrotest

270

• instance_name

271

• pattern_file_name

271

• -FIll_patterns

271

• -NOFIll_patterns

272

• -FAultsim

272

• -NOFAultsim

272

Command Dictionary Macrotest

273

• -MAX_Path_attempts d

274

• -Parity parity_file_name

274

• -NOVERIfy_observability

275

• -VERIfy_observability

275

• -Select

277

Open Schematic Viewer

278

Read Modelfile

280

• modelfile_name

281

• RAM/ROM_instance_name

281

Read Procfile

283

Read Subckts Library

284

Redo Display

285

Report Aborted Faults

287

Report Faults

289

Set Abort Limit

289

Set Atpg Limits

289

Set Workspace Size

289

Report Atpg Constraints

290

Report Atpg Functions

291

Report AU Faults

292

• Tristate

293

• Tied_constraint

293

• Blocked_constraint

293

• Uninitialized

293

• Others

294

Report Bus Data

296

Report Capture Handling

300

Report Cone Blocks

305

Report Control Data

306

Report Core Memory

309

Report Display Instances

310

Report Drc Rules

313

• -Summary

319

Set Drc Handling

320

Report Environment

321

Report Failures

323

• -Max integer

324

• -Class class_type

327

Report Feedback Paths

331

Report Flatten Rules

333

• occurence_id

335

Report Gates

337

• Case 1: No Capture Clock

339

• Case 2: Capture Clock -Atpg

340

Arguments

347

• -Depth (FlexTest Only)

349

Report Hosts

354

Report Id Stamp

355

Save Patterns

356

Report Iddq Constraints

357

Report Initial States

359

Add Initial States

360

Write Initial States

360

Report LFSR Connections

361

Report Lists

363

Report Loops

364

Report Net Properties

366

Report Nofaults

367

• -Class Full

368

Report Nonscan Cells

369

• -Hold (FlexTest Only)

371

Report Nonscan Handling

373

Report Notest Points

374

Report Output Masks

378

Report Paths

379

• -All (FlexTest Only)

382

Report Pin Equivalences

383

Report Primary Inputs

385

Report Primary Outputs

387

Report Procedure

389

Report Pulse Generators

390

Report Random Weights

391

Report Scan Cells

393

• chain_name

394

Report Scan Chains

396

Report Scan Groups

397

Report Scan Instances

398

Report Scan Models

399

• procedure_name

400

• -CElls

400

• -Load_disturbs

401

• -CApture_disturbs

401

Report Slow Pads

402

Report Statistics

403

• -Instance instance_pathname

405

Write Statistics (FT)

407

Report Test Stimulus

408

• -Port port_id#

412

• -Noverbose

412

• -PRevious

412

• -STore

412

Report Testability Data

414

Report Tied Signals

417

Setup Tied Signals

418

Report Timeplate

419

Report Version Data

420

Reset Au Faults

422

Reset State

424

Resume Interrupted Process

425

For FastScan

427

For FlexTest

427

Run Command Dictionary

428

• -RETain_abort

429

• -NOAnalyze

429

Save Flattened Model

431

• format_switch

434

• -NOInitialization

437

• timing_filename

437

• proc_filename

437

• -TIMingfile

437

• -PROcfile

438

• -PATtern_size integer

438

• -Parallel

438

• -Serial

439

• -EXternal

439

• -BEgin

439

• -PAD0 (ASCII patterns only)

442

• -PAD1 (ASCII patterns only)

442

Save Schematic

444

Select Iddq Patterns

445

• -Noeliminate

449

• -EXhaustive (FlexTest only)

449

Select Object

450

Set Atpg Compression

455

• -Limit number

456

• -NOVerbose

456

• -Abort_limit number

456

• -CONsecutive_fails number

456

• -SEq_merge_limit number

457

• -Test_coverage OFf

459

Set Atpg Window

461

Set AU Analysis

462

Set Bist Initialization

464

Set Bus Handling

466

• bus_gate_id#

467

Set Bus Simulation

468

Set Capture Clock

469

Add Clocks

471

Set Capture Handling

472

• -Te Old

473

• -NOAtpg

474

Set Capture Limit

475

Set Checkpoint

477

Setup Checkpoint

478

Set Clock Restriction

479

• Clock_po (FastScan Only)

480

Set Clock_off Simulation

482

Set Clockpo Patterns

483

Set Contention Check

484

• -Warning

485

• -Error

485

• -BIDI_Mask (FastScan Only)

486

• -Verbose (FastScan Only)

487

• -VVerbose (FastScan Only)

487

• -NOVerbose (FastScan Only)

487

Related Commandstimeframe

488

Set Gate Report

488

Set Control Threshold

489

Set Decision Order

490

• drc_id

494

• Warning

499

• Ignore

499

• NOVerbose

500

• Verbose

500

• NOAtpg_analysis

500

• Atpg_analysis

500

• -Mode A clk_name

500

• -Interval number

501

Set Sensitization Checking

502

Set Driver Restriction

503

• Tg (FlexTest Only)

504

Set Fails Report

505

Set Fault Mode

506

Set Fault Sampling

508

Set Fault Type

510

• TOggle

511

• TRansition

511

• Path_delay (FastScan Only)

511

Set Flatten Handling

512

Set Gate Level

517

• Low_design

518

• Normal

520

• Race (FlexTest Only)

520

• Error_pattern

520

• Bist_data (FastScan Only)

521

• TIe_value

521

• Constrain_value

522

• Clock_cone pin_name

522

• SImulation (FlexTest Only)

526

• CONTrol (FlexTest Only)

526

Set Hypertrophic Limit

528

Set Iddq Checks

530

Set Iddq Strobe

534

Set Instancename Visibility

536

• -Leaf leaf_levels

537

• -Root root_levels

537

3. Specifying the following:

538

Set Instruction Atpg

539

Set Internal Fault

541

Set Internal Name

542

Set Interrupt Handling

543

Set IO Mask

545

Set Learn Report

546

Set List File

548

Set Logfile Handling

550

• -Append

551

Set Loop Handling

552

• Simulation

553

• Delay (FlexTest Only)

553

• -Duplication ON

553

Set Multiple Load

555

Set Net Dominance

557

Set Net Resolution

559

Set Nonscan Model

561

Set Number Shifts

564

Set Observation Point

565

Set Observe Threshold

567

Set Output Comparison

569

• -X_ignore Simulated

570

• -X_ignore Both

570

• -Io_ignore

570

Set Output Mask

571

Set Pathdelay Holdpi

573

Set Pattern Source

574

• Bist (FastScan Only)

575

• External filename

575

• -Ascii

575

Set Possible Credit

578

Set Procedure Cycle_checking

579

Set Pulse Generators

580

Set Race Data

581

Set Rail Strength

582

Set Ram Initialization

583

Set Ram Test

585

Set Random Atpg

587

Set Random Clocks

588

Set Random Patterns

590

Set Random Weights

591

Set Redundancy Identification

593

Set Schematic Display

594

• -Compact

595

• -NOCompact

595

• -Query threshold

595

• -NOQuery

595

• -Hide type

595

• -Dspace AUTO

596

Set Screen Display

597

Set Self Initialization

598

Set Sequential Learning

601

Set Static Learning

602

Set Shadow Check

603

Set Simulation Mode

604

• Combinational

607

• Ram_sequential

607

• -Random

607

• -Depth number

607

Set Skewed Load

609

Set Split Capture_cycle

611

Set Stability Check

612

Set Stg Extraction

616

Set System Mode

617

• Drc (FlexTest Only)

618

Set Test Cycle

620

Set Trace Report

621

Set Transition Holdpi

622

Set Unused Net

623

Set Xclock Handling

626

Set Z Handling

627

Set Zhold Behavior

629

Report Gates Set Learn Report

630

Set Zoom Factor

631

• integer

643

• -Record

643

• os_command

644

Undo Display

645

Unselect Object

649

Update Implication Detections

651

• -Selected

653

• -Marked

654

View Area

655

View Area Command Dictionary

656

Write Core Memory

657

Write Environment

659

Write Failures

662

Write Faults

666

• basename

672

Write Loops

674

Write Modelfile

675

Write Netlist

677

• -Genie

678

• -Model

678

Write Paths

679

Write Primary Inputs

681

Write Primary Outputs

683

Write Procfile

685

Write Statistics

686

Write Timeplate

689

• scale_factor

691

Zoom Out

692

Chapter 3

693

Shell Commands

693

Shell Commands fastscan

695

• -Usage

698

• -Version

698

Shell Commands flextest

699

Chapter 4

705

Test Pattern File Formats

705

Setup_Data

706

Functional_Chain_Test

709

Scan_Test

712

Scan_Cell

715

ASCII Pattern Format

716

Table Pattern Format

724

VCD Support Using VCD Plus

731

Design netlist in Verilog

736

FlexTest Dofile:

742

Chapter 5

745

Distributed FlexTest

745

Environment Setup

748

Host File Setup

748

Host File

749

Entry Field

749

• mgc=MGC_HOME

750

• wd=WORK_DIR

750

• numt=num_tasks

750

• sp=speed

750

Appendix A

753

Timing Command Dictionary

753

FastScan Timing Commands

755

SET END_MEASURE_CYCLE TIME

756

SET PROCEDURE FILE

760

SET TIME SCALE

761

SET SINGLE_CYCLE TIME

762

• SET END_MEASURE_CYCLE TIME

763

SET SPLIT_BIDI_CYCLE TIME

764

SET SPLIT_MEASURE_CYCLE TIME

767

SET STROBE_WINDOW TIME

772

TIMEPLATE

775

• timeplate_statement;

776

FlexTest Timing Commands

784

SET BIDI_FORCE TIME

785

SET CYCLE

787

SET FIRST_FORCE TIME

793

SET FORCE TIME

794

• time_value_list

795

SET MEASURE TIME

797

SET END_MEASURE_CYCLE

803

SET SKEW_FORCE TIME

804

• SET SINGLE_CYCLE TIME

807

• SET SPLIT_BIDI_CYCLE TIME

807

Appendix B

815

Control File

816

Using wdb2flex Effectively

820

INDEX [continued]

828


Mentor Geräte